ASML Holding Is an Impressive Enterprise with a Pristine Balance Sheet and Rock-Solid Growth Trajectory

publication date: Apr 8, 2020
 | 
author/source: Callum Turcan
Previous | Next
 

Image Source: ASML Holding NV – 2019 Annual Report

By Callum Turcan

Netherlands-based ASML Holding NV (ASML) supplies lithography systems and services to the makers of semiconductors and semiconductor components, primarily to firms with operations in East Asian and US markets. The firm’s stock price has rebounded sharply since the middle of March 2020, and as of this writing, shares of ASML yield ~1.1% (due to the firm paying out its dividend in euro, there are foreign currency effects to be aware of). We like ASML’s focus on innovation, its pristine balance sheet, rock-solid growth trajectory, and quality cash flow profile. Given the complexities of this company and the space it caters to, we will provide an overview of its operations and the technology behind its offerings first before covering its financials (which are reported in euro under both IFRS and GAAP accounting standards and practices).

'Major innovation drivers such as artificial intelligence, 5G, high-performance computing, autonomous driving and big data are resulting in an increased demand for leading edge nodes' --- Roger Dassen, ASML’s CFO, in ASML’s 2019 Annual Report

Background

At the end of 2019, the company had 24,900 employees with about half working at its operations division (manufacturing, supply chain management, and customer support), another two-fifths working at its R&D segment, and the remainder working as sales and supporting staff members. The company notes about half of its workforce works at its headquarters in Veldhoven, Netherlands, and the other half works in 16 countries in about 60 locations across the world.

Over 80% of ASML’s net sales in 2019 came from Asia (Taiwan and South Korea are home to ASML’s biggest customers in the region), followed by the US, with sales to the rest of the world representing just a sliver of its total revenues. ‘Net system sales’ represented over three quarters of ASML’s 2019 revenues, with ‘net service and field operations sales’ representing the remainder.

ASML’s sales to China are material at roughly ~12% of total sales in 2019, and geopolitical tension risks need to be kept in mind. While ASML is primarily a Dutch company, the firm wouldn’t want to run afoul of US trade policy as it relates to the now subdued but still ongoing US-China trade war.

Competition

According to ASML, “the lithography equipment industry is highly competitive” and to stay on top ASML must remain ahead of several key competitors include Canon Inc (CAJ) and Nikon Corp (NINOY). As it relates to “providers of applications that support or enhance complex patterning solutions” ASML is competing against Applied Materials Inc (AMAT) and KLA Corp (KLAC), among others, and ASML sees this level of competition as either equal to or greater than the competition in the equipment system space given the larger number of competitors in the complex patterning solutions space.

The Process

In order to understand ASML, it’s best to take a look at how lithography fit into the creation of semiconductors. Here’s a key excerpt from ASML’s 2019 Annual Report:

A lithography system - also called a scanner - is essentially a projection system. Light is projected through a blueprint of the pattern that will be printed (known as a ‘mask’ or ‘reticle’). With the pattern encoded in the light, the system’s optics shrink and focus the pattern onto a photosensitive silicon wafer. After the pattern is printed, the system moves the wafer slightly and makes another copy on the wafer.

This process is repeated until the wafer is covered in patterns, completing one layer of the wafer’s chips. To make an entire microchip, this process can be repeated 100 times or more, laying patterns on top of patterns to create an integrated circuit. The size of the features to be printed varies depending on the layer, which means that different types of lithography systems are used for different layers – from our latest-generation EUV (extreme ultraviolet) systems for the most critical layers with the smallest features to DUV (deep ultraviolet) systems for the less critical layers with larger features.

ASML’s extreme ultraviolet (‘EUV’) system platform is viewed, by the company, as the next step forward in Moore’s Law. In 2010, ASML launches its first prototype of the EUV system to an Asian semiconductor firm. By 2016, ASML was getting ready for commercial-level sales of its EUV system for the semiconductor industry. The company shipped 26 EUV systems in 2019, up from 18 in 2018, and that figure would have been higher were it not for “temporary supply chain delays” as an additional four EUV systems were set to be delivered in 2019 but instead those deliveries were pushed to early-2020. ASML’s deep ultraviolet (‘DUV’) system platform is billed as the semiconductor industry’s “workhorse” platform, but over time, ASML aims to shift a greater portion of its business towards its more advanced EUV platform.

For clarity, “EUV lithography uses light of a shorter wavelength to manufacture smaller chip features, resulting in faster, more powerful chips” and for ASML, the firm is preparing to launch its next EUV platform during the first half of this decade. That supports its longer term growth outlook, and these offerings have been made possible through large investments in R&D (the firm has spent ~€7.0 billion on R&D expenses over the past five full fiscal years) and through acquisitions including its 2013 purchase of Cymer and 2016 purchase of HMI. Here’s another excerpt from ASML’s 2019 Annual Report:

The TWINSCAN NXE:3400C is our latest-generation EUV lithography system, combining productivity, highest resolution, and state-of-the-art overlay and focus performance. We’re developing the future generation of EUV lithography systems, using a higher numerical aperture, known as High-NA technology. The first R&D systems are planned to be shipped in early 2022 with volume production tools in 2024/2025. This technology will enable geometric chip scaling beyond the current decade, offering a resolution and overlay capability that is 70% better than our current EUV platform.

In the upcoming graphic down below, ASML provides an overview of the semiconductor manufacturing process.

Image Shown: ASML supplies the semiconductor industry with lithography systems for making semiconductors, along with various support services and other offerings. Image Source: ASML – 2019 Annual Report

Financials

ASML generated ~€3.3 billion in annual free cash flow on average from 2017 to 2019, while its annual dividend and share buybacks averaged ~€0.8 billion and ~€0.7 billion, respectively, during this period. Please note that ASML pays out a semi-annual dividend in euro and foreign exchange effects need to be kept in mind, but the goal is for ASML to keep growing its annualized dividend over time. Given its strong cash flow profile and pristine balance sheet, which we’ll cover later on, it appears ASML’s dividend coverage on a forward-looking basis is quite strong with room for growth. In 2019, ASML generated over €4.0 billion in free cash flow and spent over €1.3 billion on its dividend payouts.

 

Image Shown: ASML is very free cash flow positive and its annual free cash flows have been steadily growing over the past few years. These figures are based on GAAP accounting standards. Image Source: Valuentum, with data from ASML’s 2019 Annual Report

Free cash flow growth is largely a product of ASML’s growing revenues, which on a GAAP basis have grown by almost 32% over the past two years (from 2017 to 2019). ‘Net system sales’ have grown by 40% during this period, while ‘net service and field operation sales’ are up 11%. Clearly, the growing popularity of ASML’s lithography platform is key to supporting its improving financial performance.

Image Shown: ASML’s top-line has grown at a nice clip of late. These figures are based on GAAP accounting standards. Image Source: Valuentum, with data from ASML’s 2019 Annual Report

ASML’s gross margins, by GAAP accounting standards, have held steady over the past few years which we can appreciate given its rising revenues. The drop from 2018 to 2019 largely comes down to product mix, as ASML sold a growing number of EUV systems which has a lower gross margin than its deep ultraviolet (‘DUV’) systems. DUV systems are less advanced that EUV systems, as covered previously. ASML is focusing on improving the gross margins of its EUV systems, and there’s reason to believe that could be the case in the medium-term given that EUV is the technology of the future while DUV is the technology of the past (i.e. as ASML continues to get a better understanding of its EUV offerings, and as the segment scales up and becomes a bigger part of its business, gross margins have room for improvement). In particular, ASML cites EUV services sales as dragging down its gross margins.


Image Shown: ASML’s GAAP gross margins have been roughly flat over the past few years. Image Source: Valuentum, with data from ASML’s 2019 Annual Report

However, please note ASML’s GAAP operating margin shrank by ~360 basis points from 2017 to 2019, almost entirely due to rising R&D expenses. Given the need to invest in its upcoming EUV system launches and stay ahead of the game, increasing R&D investments seems like a wise move, in our view.

ASML exited 2019 with $3.5 billion in cash and cash equivalents and $1.2 billion in short-term investments on hand, along with $0.8 billion in equity method investments. Stacked up against $3.1 billion in long-term debt and no short-term debt, ASML’s balance sheet appears pristine. We’ll stress that (net) cash is king in the current environment, and we appreciate ASML’s strong financial position. Additionally, ASML’s outstanding diluted share count dropped by over 2% from 2017 to 2019, due to its share buyback program.

Looking Ahead

Looking out to the middle of this decade, ASML sees a bright growth trajectory ahead of it. Back in November 2018, ASML issued long-term guidance that noted its annual revenues could grow to €15.0 billion - €24.0 billion by 2025. Under either scenario, ASML would experience strong top-line growth, with that trajectory underpinned by the launch of its next EUV system and more broadly, growing semiconductor demand worldwide. The rise of autonomous and semi-autonomous vehicles, for example, will create a lot of demand for semiconductors as those vehicles will need a lot more computing power than current models. There’s also the Internet of Things (‘IoT’) trend to consider, as more and more everyday products become digitally integrated (from refrigerators and coffee makers to “smart” home systems and more).

Image Shown: ASML’s growth story is underpinned by growing semiconductor demand which supports demand growth for its lithography platforms. Image Source: ASML – 2019 Annual Report

In the near-term, ASML will be negatively impacted by the ongoing coronavirus (‘COVD-19’) pandemic in part due to the negative impact the pandemic had has on its supply chains and production capabilities. On March 30, the company issued a press release noting the following:

“Until now the COVID-19 outbreak has had limited impact on ASML’s manufacturing capability. Also, from a customer point of view, we have not seen a reduction in the demand for our systems this year. However, three COVID-19 related effects have impacted our Q1 financial results. First, we have experienced some delays in DUV shipments to Wuhan, China as well as to other customers due to shipment and travel restrictions regarding COVID-19. Second, we have experienced some issues in our supply chain, which for now have been solved. Combined with longer than initially planned cycle times for the first NXE:3400C models in final configuration, this has resulted in some delays in shipment.

Third, due to concerns around the continued ability to ship systems in the current circumstances, some customers have asked us to expedite the delivery of EUV systems by shipping the systems before the normal Factory Acceptance Tests (FAT). The implication of this is a delay in our revenue recognition as final acceptance will now take place after successful installation at the customer site. We currently expect revenue in the first quarter to be between €2.4 billion and €2.5 billion, with a gross margin between 45% and 46%. We expect the revenue that we were not able to recognize for Q1 as a result of the issues listed above, to shift to Q2 and Q3 of this year.” --- Peter Wennink, CEO of ASML

Additionally, ASML has suspended its share buyback program until at least the end of the second quarter of 2020. Management will provide greater details on ASML’s financial and operational performance when the company reports its first quarter earnings on April 15. It appears that ASML expects the pandemic to only have a temporary effect on its operational and financial performance, and that the company should be able to quickly bounce back once its production facilities are up and running at fully capacity again.

Concluding Thoughts

ASML is an impressive company. Its long-term growth trajectory appears rock-solid, supported by increasing usage of its EUV system platform (with an eye towards the upcoming launch of new systems and products) and growing global demand for semiconductors (taking a longer term view), while its pristine balance sheet offers a lot of support during these harrowing times. The company is very free cash flow positive and has been posting steady growth of late, and management appears to be communicating that the firm can quickly bounce back from the COVID-19 pandemic. Given its strong free cash flows and pristine balance sheet, ASML’s dividend coverage appears solid and there’s ample room for per-share dividend payout growth. The potential for gross margin expansion is also intriguing, keeping in mind ASML’s operating margin will need to contend with the need to make large R&D investments to stay ahead of the game.

Communications Equipment Industry – CSCO JNPR KN NOK SMCI

Broad Line Semiconductor Industry – AMD AVGO FSLR INTC TXN

Integrated Circuits Industry – ADI MCHP MRVL NVDA SWKS TSM XLNX

Semiconductor Equipment Industry – AMAT CREE IPGP KLAC LRCX MKSI SNPS TER

Related: ASML, CAJ, NINOY

-----

Valuentum members have access to our 16-page stock reports, Valuentum Buying Index ratings, Dividend Cushion ratios, fair value estimates and ranges, dividend reports and more. Not a member? Subscribe today. The first 14 days are free.

Callum Turcan does not own shares in any of the securities mentioned above. Cisco Systems Inc (CSCO) and Intel Corporation (INTC) are both included in both Valuentum’s simulated Best Ideas Newsletter and Dividend Growth Newsletter portfolios. Some of the other companies written about in this article may be included in Valuentum's simulated newsletter portfolios. Contact Valuentum for more information about its editorial policies.

1 Comments Posted Leave a comment

Guest
 

Add a comment:

Sign in to comment on this entry. (Required)


-------------------------------------------------
The High Yield Dividend Newsletter, Best Ideas Newsletter, Dividend Growth Newsletter, Valuentum Exclusive publication, ESG Newsletter, and any reports, data and content found on this website are for information purposes only and should not be considered a solicitation to buy or sell any security. Valuentum is not responsible for any errors or omissions or for results obtained from the use of its newsletters, reports, commentary, data or publications and accepts no liability for how readers may choose to utilize the content. Valuentum is not a money manager, is not a registered investment advisor, and does not offer brokerage or investment banking services. The sources of the data used on this website and reports are believed by Valuentum to be reliable, but the data’s accuracy, completeness or interpretation cannot be guaranteed. Valuentum, its employees, and independent contractors may have long, short or derivative positions in the securities mentioned on this website. The High Yield Dividend Newsletter portfolio, ESG Newsletter portfolio, Best Ideas Newsletter portfolio and Dividend Growth Newsletter portfolio are not real money portfolios. Performance, including that in the Valuentum Exclusive publication and additional options commentary feature, is hypothetical and does not represent actual trading. Actual results may differ from simulated information, results, or performance being presented. For more information about Valuentum and the products and services it offers, please contact us at info@valuentum.com.

 
Previous | Next